[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[oc] SHA-1




Dear all,

I have so far completed designing a hardware of SHA-1 in the form of VHDL
and at this moment, i need to verify it and that will require a VHDL
program that can read and write files. is there a free core about it?

regards,

====================================================================
                 I Made Aria Bagus Pramana
Electronics Sub Department of Electrical Engineering Department of
              Bandung Institute of Technology
=====================================================================

--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml