[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[oc] SHA-1




Dear all,

I've made a VHDL program which is able to read and write a file. Moreoevr
I've also created a file.txt. As what I've read so far from a book
titled "VHDL Made Easy" composed by David Pellerin and Douglas Taylor,
that file will input to (be read by) the VHDL program, then the VHDL
program will process it and output it (write the output).

Yet I dont even know how to run the program, I mean that I dont know how
to input the file.txt to the VHDL program and then make the VHDL program
process it and finally release an output.

Well, Can you let know how to do it? and do you think it is right to make
the file input in the form of text (.text)?

as the information that the VHDL simulator used here is ModelSim 5.4

Best Regards,

Aria



--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml