[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] VHDL help.



The first function looks like it takes an input signal, and converts its
value either to a low voltage, high voltage, or if the signal is not one
of those, the output is a high impeadance, which means that its neither,
its hard for me to fully describe what a high-Z value means, without seing
how this fits in with anything else.

As for the second function, I don't have any idea, sorry.
Mike

On Sat, 8 Dec 2001, [iso-8859-1] Kausar Ahmed R wrote:

> Hello,   Can anyone please tell me in detail ( & in simple terms ) what exactly the following VHDL functions do ?Also, are they supported
for synthesis, especially in Xilinx Foundation-F3.1i tool ?Thanks in advance.   Kausar.  [ kausarahmedr@yahoo.com ]
[1] FUNCTION to_high_imped(arg : bvec) RETURN bvec IS
>           VARIABLE result : bvec;
>       BEGIN
>            FOR k in arg'RANGE LOOP
>              CASE arg(k) IS
>                   WHEN '0' => result(k) := 'L';
>                   WHEN '1' => result(k) := 'H';
>                   WHEN 'L' => result(k) := 'L';
>                   WHEN 'H' => result(k) := 'H';
>                   WHEN OTHERS => result(k) := 'Z';
>              END CASE;
>           END LOOP;
>         RETURN(result);
>      END FUNCTION to_high_imped;---------------------------------------------------------------
And the  [2] FUNCTION To_X01  ( s : std_logic_vector ) RETURN  std_logic_vector ;  ( ieee, std_logic_1164 )
>
>
> ---------------------------------
>
> ---------------------------------
> Get your Stock Quotes, Finance News, Insurance, Tax Planners, Mutual Funds... and more at Yahoo! Finance

--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml