[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] SHA-1




hi, everyone

i am looking for a VHDL program which operates as a simulator for my VHDL
program of SHA-1. it aims to verify the result of my VHDL program with the
one of the C language program for the same input that is set to be 24 bits
long. where do i have to find it? can i possibly get it in this opencores?

thanks for your attention

=========================================================
Aria
Laboratorium of VLSI
Electronic Department of Bandung Institute of Technology
=========================================================

--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml