[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[oc] Clock frequency generator



hello,

i am looking to match the system clock with a square wave in VHDL. so 
in my code for SQ wave...if I am generating wave as below

counter := counter + 1;

if counter = 40 then
sqwave_out <= '1';
end if;

if counter = 80 then
sqwave_out <= '0';
counter := 0;
end if;

where sqwave_out is the sq. wave then how can I match the system 
clk with this wave. ie compare both...can someone demonstrate this to 
me in VHDL code? 

many thanks,
Victor
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml