[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] ADC code source ???



On Wednesday 22 January 2003 18:21, Dharmeshbhai PATEL wrote:
> Hi list,
>
> I'm searching a Analog to Digital converter (ADC) vhdl or verilog code
> source. I'm also interrested by some documentation and also a
> test-bench.
> If anyone has something about please help me and let me know if there is
> a web-site for this..... Thanks a lot in advance.

If I understand the subject correctly (and I am awfully new to this and try 
learning by answering questions) HDLs currently have no support for analog 
circuitry, and FPGAs certainly does not have it.

That said however, you should be able to make ADC support on-chip with a 
couple of external analog circuits to implement the ADC. There are numerous 
ways to implement an ADC this way, switched capacitor, stepper and 
integrating comes to my mind right now.
Your requirement would determine the best choice.
But you will need at least an op-amp or a comparator off-chip, and some 
resistors. If you don't have a external Sample-Hold, you can also forget 
about an integrating ADC.
And considering the low-cost, small form factor of modern ADCs, I don't think 
it is worthwhile bothering.
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml