[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[oc] Re: How to creat such signal wave using VHDL?



I meet such problem in using VHDL to creat such signal wave:

such as the following wave:
firstly I think it's a easy problem, but I find that in IF centence, after some event it can not exit, do i need to use Loop centence then use exit syntax?


----------------------\
					   \----------------------------------------------------
|<---1024 CLK-------->| |<----------------Infinitely Long-------------------

my process is the following:

process(clk)
begin
	if clk'event and clk='1' then
............
............
............
	end if;
end process;


B.R
		hanzy

--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml