[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

No Subject



dear sir i am a student of annauniversity doing a project on FPGA based Control IC for SPWM.so i need your help for cordic algorithm for developing sine wave,and the vhdl code for the same.
   thanking you.
                 -R.Sundaramurthy-
                  Anna university
                  India.

 



--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml