[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] VHDL code for Bluetooth module



Thanks for the model
I was really looking for such model to check my design
I am going to pass over it in the comming few days and
check how can I use it to test my design.

Do you like to consider these files as contribution to
bluetooth project and add it to our CVS and site?

Currently I am looking for leagal issues about
implementing bluetooth baseband core because I noticed
that even if the spec is royality free but I ca n not
implement it if I am not an SIG member or I'll be
violating some patents used by bluetooth, do you have
any idea on how to solve this problem?

Anyhow how did you check the correctness of your
model?

Thanks
Jamil Khatib
--- Sanat Kamal <sanatbahl@usa.net> wrote:
> Sir/Madam
> 
> i have written VHDL code for Bluetooth Baseband
> module which receives packets
> from the RF chip. for simulation purpose the bit
> stream is to be given as an
> input in a file to the Baseband module which then
> reads the bitstream after
> the preamble bit is received and then separates each
> field from the bit stream
> depending upon which packet it is receiving. right
> now i have tested it for
> ACL type of packets only.i am sending the code along
> with the letter.
> 
> Sanat 
> 
>
____________________________________________________________________
> Get free email and a permanent address at
> http://www.netaddress.com/?N=1
> 

> ATTACHMENT part 2 application/octet-stream
name=vhdl.vhd


> ATTACHMENT part 3 application/octet-stream
name=vhdl.vhd



__________________________________________________
Do You Yahoo!?
Get email at your own domain with Yahoo! Mail. 
http://personal.mail.yahoo.com/